DSpace

Please use this identifier to cite or link to this item: http://tainguyenso.dut.udn.vn/handle/DUT/2651
Full metadata record
DC FieldValueLanguage
dc.contributor.advisorVõ, Minh Tuấn, TS-
dc.contributor.advisorNgô, Minh Trí, TS-
dc.contributor.authorTạ, Quốc Việt-
dc.date.accessioned2021-03-29T07:58:01Z-
dc.date.available2021-03-29T07:58:01Z-
dc.date.issued2020-
dc.identifier.urihttp://tainguyenso.dut.udn.vn/handle/DUT/2651-
dc.descriptionLuận văn Thạc sĩ Kỹ thuật. Chuyên ngành: Kỹ thuật Điện tử. Mã số: 60.52.02.03; 93 trvi
dc.description.abstractTrong thiết kế vi mạch tích hợp người thiết kế sáng tạo ra sản phẩm thì người xác minh đảm bảo sản phẩm đó phải đáp ứng chất lượng tốt nhất khi đến tay khách hàng. Phương pháp xác minh phổ quát (UVM: Universal Verification Methodology) là một phương pháp mô phỏng các thiết kế phần cứng để xác minh chức năng. UVM được xây dựng với mục tiêu tạo ra một phương pháp mô phỏng chung cho công nghiệp vi mạch. RAM Video (VRAM) là một dạng RAM động cổng kép phổ biến được sử dụng cho bộ nhớ video, cho phép CPU vẽ hình ảnh cùng lúc với phần cứng video đang đọc nó ra màn hình, hầu hết các loại RAM cổng kép khác đều dựa trên công nghệ RAM tĩnh - SRAM. Để thống nhất các ngôn ngữ thiết kế và xác minh, System Verilog (SV) đã được giới thiệu là chuẩn ngôn ngữ hợp nhất dành cho việc thiết kế phần cứng và xác minh. Từ những vấn đề nêu trên, tác giả đề xuất một nghiên cứu có xây dựng môi trường tự xác minh cho SRAM cổng kép bằng phương pháp xác minh phổ quát. Đề tài xây dựng một môi trường tự xác minh, kiểm thử (Built In Self Test – BIST) nhằm mô hình hóa và kiểm tra chức năng hoạt động của SRAM cổng kép dựa trên ngôn ngữ System Verilog.vi
dc.language.isovivi
dc.publisherTrường Đại học Bách khoa - Đại học Đà Nẵngvi
dc.subjectPhương pháp xác minh phổ quátvi
dc.subjectTự kiểm tra tích hợpvi
dc.subjectRAM tĩnh cổng képvi
dc.subjectTạo mẫu thử nghiệm tự độngvi
dc.subjectLập trình hướng đối tượng.vi
dc.titleXây dựng môi trường tự xác minh cho SRAM cổng kép bằng phương pháp xác minh phổ quátvi
dc.title.alternativeBuilt-in self-verification environment of a dual port SRAM using UVM methodologyvi
dc.typeThesisvi
Appears in Collections:LV.Kỹ thuật Điện tử

Files in This Item:
File Description SizeFormat 
TaQuocViet.TT.pdfTóm tắt927.42 kBAdobe PDFbook.png
 Sign in to read
TaQuocViet.TV.pdfToàn văn3.61 MBAdobe PDFbook.png
 Sign in to read


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

Google Scholar TM

Kiểm tra...